CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 verilog

搜索资源列表

  1. verilog恒系数乘法器

    0下载:
  2. verilog恒系数乘法器
  3. 所属分类:其他小程序

    • 发布日期:2009-04-29
    • 文件大小:1055807
    • 提供者:zhenqi2008
  1. fpga实现乘法器

    1下载:
  2. 用verilog语言实现的乘法器,可以实现正负数的乘法。
  3. 所属分类:源码下载

  1. 实用verilog代码(乘法器,触发器,FIFO等)

    3下载:
  2. 本文件包含一些实用verilog程序代码,包括乘法器,除法器,伽罗瓦域乘法器,CORDIC数字计算机的设计,异步FIFO设计,伪随机序列应用设计,RS(204,188)译码器的设计,都是可综合的。对研究这部分的朋友有一定的帮助。
  3. 所属分类:源码下载

    • 发布日期:2010-12-19
    • 文件大小:28867
    • 提供者:zhanxin0319
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. add_tree_mult

    0下载:
  2. 8位加法树乘法器,实现两个8位二进制数相乘,采用verilog hdl-8-bit adder tree multiplier, the achievement of the two 8-bit binary number multiplied, using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:852
    • 提供者:江浩
  1. booth

    0下载:
  2. 基于verilog的booth算法的乘法器-Based on the booth algorithm verilog multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:697
    • 提供者:gyj
  1. verilog-example

    0下载:
  2. 4位并行乘法器 4位超前加法器 ALU 计数器 滤波器 全加器 序列检测器 移位器-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:5744
    • 提供者:向死而生
  1. multiplexer

    0下载:
  2. 几种常用乘法器的Verilog、VHDL代码-Several common multiplier Verilog, VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:267476
    • 提供者:kk
  1. 8bit_mult

    0下载:
  2. 八位快速乘法器设计verilog HDL-8 bit Fast Multiplier Designverilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:46992
    • 提供者:孙世玮
  1. matrix3x3

    0下载:
  2. 3*3矩阵的乘法器代码!!! !!! !!! !!!!1-3* 3 matrix multiplier code~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4140
    • 提供者:wjlsomeone
  1. ade

    0下载:
  2. 用VERILOG HDL 语言实现一个8位串行乘法器-VERILOG HDL language with an 8-bit serial multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:xiaobai
  1. mult_addtree

    1下载:
  2. 用VERILOG HDL 语言实现一个4位的流水线乘法器-VERILOG HDL language with a 4-bit pipelined multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:741
    • 提供者:xiaobai
  1. BBooth

    0下载:
  2. 基verilog 布斯乘法器 4位位宽,本人不才,仅做参考-Booth multiplier based verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:702
    • 提供者:刘安
  1. verilog

    1下载:
  2. 介绍了一种64位子字并行乘法器的设计。根据不同的操作模式可以完成普通模式操作即64bit*64bit乘法操作,又可完成子字并行操作模式,即4个16bit*16bit乘法操作。-Introduced a 64-seat word parallel multiplier design. Depending on the operating mode Normal mode operation can be done that 64bit* 64bit multiplication operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:99070
    • 提供者:余娅
  1. carry-save-multiplier-Verilog-code

    0下载:
  2. 进位存储乘法器Verilog代码,该乘法器的显著特点是其性能取决于使用的硬件而与数据长度无关.-carry save multiplier Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:582
    • 提供者:zhang chunhui
  1. mltiply_machine

    0下载:
  2. verilog语言写的乘法器,每一步经过验证,毫发无损,拿出来与大家共享,在quartus II 上编程,仿真在cyclone 2上!!谢谢!-written multiplier verilog language, every step of the proven, intact, and show to share the quartus II on programming, simulation in cyclone 2 on! ! Thank you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:228260
    • 提供者:谷向前
  1. 16 bit signed number multiplier

    2下载:
  2. 16位有符号数乘法器,使用Booth编码和华莱士树,提供程序源文件和测试文件(The 16 bit signed multiplier uses Booth encoding and Wallace tree to provide source files and test files.)
  3. 所属分类:微处理器开发

    • 发布日期:2020-04-25
    • 文件大小:6144
    • 提供者:Yongsen Wang
  1. mux16

    1下载:
  2. 基于quartus的FPGA乘法器Verilog程序(FPGA multiplier program based on quartus)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2048
    • 提供者:艾尼more
  1. float_mult32x32.v

    4下载:
  2. verilog 语言写的FPGA内部实现硬件浮点乘法器的源码,两个时钟周期完成一次浮点乘法运算(The FPGA language written in Verilog implements the source of the hardware floating point multiplier, and completes the floating point multiplication operation in two clock cycles.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-12-16
    • 文件大小:1024
    • 提供者:orangell
  1. multiplier

    1下载:
  2. Booth乘法器是属于位操作乘法器,采用流水线结构实现(The Booth multiplier is a bit-operated multiplier that is implemented in a pipeline structure.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2138112
    • 提供者:wlkid1412
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com